CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DS18B20 VHDL

搜索资源列表

  1. ds18b20

    0下载:
  2. 基于VHDL写的DS18B20的驱动,简单版本-based on VHDL write DS18B20 driven, simple version
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:299737
    • 提供者:zhaoyang
  1. DS18B20

    1下载:
  2. 用VHDL写的DS18B20温度采集程序,QuartusII的完整工程,控制灵活,易扩展
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100199
    • 提供者:wanyou
  1. DS18B20

    0下载:
  2. VHDL实现DS18B20测温,实现平台XC3S500E-VHDL DS18B20 temperature platform XC3S500E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:638433
    • 提供者:王磊
  1. READ

    0下载:
  2. 用于FPGA实现单总线测温电阻DS18b20时序。在xilinx spartan 3中试过。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2004
    • 提供者:chenxing
  1. Linux_bc

    1下载:
  2. 对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SDRAM控制器,有仿真代码 ·xili
  3. 所属分类:Linux-Unix program

    • 发布日期:2015-03-19
    • 文件大小:18683907
    • 提供者:liuzhou
  1. temperature

    0下载:
  2. 基于FPGA的ds18b20数字温度采集,verilog 编写-du to fpga ds18b20
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1727
    • 提供者:fds
  1. ds18b20s4

    0下载:
  2. 四路DS18B20的verilog HDL 代码,精度为1℃无须转换数据,根据输入地址改变直接输出结果。占用600个LE资源,相对于单路程序,更为精减-Four DS18B20 the verilog HDL code, and an accuracy of 1 ℃ without converting the data, enter the address change in accordance with the direct output. Occupy 600 LE resources,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:443091
    • 提供者:chenwl
  1. ds18b20_verilog

    0下载:
  2. 用verilog语言编写,实现DS18B20测量温度的程序,包括整个工程文件。-Using verilog language, achieve DS18B20 temperature measurement procedures, and including the project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:174833
    • 提供者:pangzi
  1. daima

    0下载:
  2. 基于fpga\vhdl的温度控制器,芯片选用ds18b20-Based fpga \ vhdl temperature controller, the chip selection ds18b20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2164
    • 提供者:何思
  1. DS18B20

    0下载:
  2. 基于FPGA的应用VHDL编写18b20的程序-Application of VHDL-based FPGA program written 18b20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:605759
    • 提供者:紫云
  1. RECEIVE_TEMP_A

    0下载:
  2. 温度采集和显示,DS18B20采集温度,数码管LED显示温度-Temperature acquisition and display, DS18B20 collecting temperature, digital tube LED display temperature
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:2563
    • 提供者:费利佩
  1. DS18B20

    0下载:
  2. 可以对温度进行自由设定,到那时必须在0-100摄氏度单位内,设定时可以适时的显示说设定的温度值,温度是可以自由设置的,传感器的检测值与设定的温度比较,可以显示在七段发光二极管上-The temperature can be set freely, then the unit must be 0-100 degrees Celsius, setting a timely display of said set temperature, the temperature can be freely s
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:8604
    • 提供者:聂海
  1. DS18B20

    1下载:
  2. 本程序是基于VHDL语言,在EPM570上开发的温度传感器DS18B20驱动及数码管显示程序-This procedure is based on the VHDL language, developed in the EPM570 DS18B20 drive temperature sensor and digital control display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-06
    • 文件大小:578560
    • 提供者:张衡星
  1. 5956474temperature

    0下载:
  2. DS18b20 temperature sensor vhdl code
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2454
    • 提供者:severance15
  1. DS18B20

    0下载:
  2. 用VHDL写的DS18B20程序,用注解,非常实用-DS18B20 written with VHDL program, with comments, very useful ~ ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:97583
    • 提供者:lidejjj
  1. fpgaads18b20

    0下载:
  2. 基于fpga和ds18b20的多路温度测量系统的设计 来源:万方-Fpga-based and multi-channel temperature measurement ds18b20 System Source: Articles
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:342401
    • 提供者:
  1. DS18B20_test_3_vhdl

    0下载:
  2. ds18b20温度传感器,使用vhdl实现-ds18b20 temperature sensor, achieved using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1361883
    • 提供者:qifo
  1. VHDL-cewen

    0下载:
  2. 基于FPGA的DS18B20温度测量逻辑模块设计-FPGA-based logic module design DS18B20 temperature measurement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:737145
    • 提供者:肖启剑
  1. module-Temperature

    0下载:
  2. DS18B20引脚功能 GND地,DQ数据总线,VDD电源电压 18B20共有三种形式的存储器资源,它们分别是: ROM 只读存储器,用于存放DS18B20ID编码,其前八位是单线系列编码,后面48位是芯片唯一的序列号,最后8位是以上56位的CRC码。DS18B20共64位ROM RAM 数据暂存器,数据掉电后丢失,共9个字节,每个字节8位,第1、2个字节是温度转换后的数据值信息,EEPROM 非易失性记忆体,用于存放长期需要保存的数据,上下限温度报警值和校验数据
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:9244
    • 提供者:袁亚楠
  1. DS18B20

    0下载:
  2. 配置DS18B20的FPGA的简易代码,方便移植和修改。(Configure the FPGA code for DS18B20)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:2048
    • 提供者:icei84
« 1 23 4 »
搜珍网 www.dssz.com